Bug in Vector?

ANOQ of the Sun anoq@hardcoreprocessing.com
Wed, 14 Jun 2000 16:27:24 +0200


Hello!


I have seen a strange error when compiling
an ML program:

Bug: Value.primApply: type error

It disappeared when I removed some calls to:

Vector.fromList
Vector.sub
Vector.length

I called each of these Vector functions
once only in the same function declaration.

I haven't looked into it any further, because I'm
using List.nth now (and it's a list of just 9
elements always, so it will do for now...).

But I can say that the code with the Vector
calls compiled under MLKit - but I currently
(still) cannot run MLKit kit programs on Linux.
The upgrade of the glibc libraries etc. did not
seem to make MLKit work... but since it compiles
and since this is ML, I assume that it should work :)


Cheers
-- 
http://www.HardcoreProcessing.com