Bug in Vector?

Stephen Weeks MLton@research.nj.nec.com
Wed, 14 Jun 2000 12:17:22 -0700 (PDT)


> I have seen a strange error when compiling
> an ML program:
> 
> Bug: Value.primApply: type error
> 
> It disappeared when I removed some calls to:
> 
> Vector.fromList
> Vector.sub
> Vector.length
> 
> I called each of these Vector functions
> once only in the same function declaration.
...
> but since it compiles
> and since this is ML, I assume that it should work :)

You are of course correct.  This is a known bug, and is fixed in our
internal version.  To fix your version, change line 393 of
src/closure-convert/abstract-value.fun from 
                Array x => x
to
                Vector x => x
and remake mlton.  Let us know if that works.